Welcome![Sign In][Sign Up]
Location:
Search - 8051 in vhdl

Search list

[Other resource8051_nios_vhdl

Description: 8051 MCU在nois平台上的实现代码(VHDL),出自Altera公司,经过严格测试核验证-nois 8051 MCU platform in the realization of code (VHDL) from Altera Corporation, after strict verification of nuclear test
Platform: | Size: 102253 | Author: 钟方 | Hits:

[Other resourcemc8051design

Description: VHDL写的8051内核,可用的,好用,有兴趣可下载,在外国网站下载的-write VHDL 8051 kernel, available, convenient, can be downloaded interested in the foreign website
Platform: | Size: 408562 | Author: lbh | Hits:

[Other resourcemc8051_design

Description: MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core此公司提供的8051 core很容易在FPGA 上用同时也是学习VHDL的一份不错的进阶实例-MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core company for the 8051 core very easy to use in FPGA VHDL is also studying a good example of the SSP
Platform: | Size: 557338 | Author: 寇锐 | Hits:

[VHDL-FPGA-Verilog原代码

Description: 8051核的vhdl原代码。-8051 core VHDL source code.
Platform: | Size: 97280 | Author: 艾霞 | Hits:

[SCMmc8051_design

Description: MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core此公司提供的8051 core很容易在FPGA 上用同时也是学习VHDL的一份不错的进阶实例-MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core company for the 8051 core very easy to use in FPGA VHDL is also studying a good example of the SSP
Platform: | Size: 557056 | Author: 寇锐 | Hits:

[VHDL-FPGA-Verilog8051IPCORE

Description: VHDL写成的8051IP核,仔细看能有不少收货-written in VHDL 8051IP nuclear, look very carefully to have a receipt
Platform: | Size: 202752 | Author: 张常 | Hits:

[VHDL-FPGA-Verilog8051_nios_vhdl

Description: 8051 MCU在nois平台上的实现代码(VHDL),出自Altera公司,经过严格测试核验证-nois 8051 MCU platform in the realization of code (VHDL) from Altera Corporation, after strict verification of nuclear test
Platform: | Size: 102400 | Author: 钟方 | Hits:

[VHDL-FPGA-Verilog8051_VHDL

Description: mc8051代码,里面功能齐全,调试方便-mc8051 code, inside a full-featured, easy debugging
Platform: | Size: 182272 | Author: 陈冲 | Hits:

[VHDL-FPGA-Verilogmc_8051

Description: 该源代码是实现了8051 mcu core的VHDL代码,中断、计时等各功能全面,且包括了各部分的详细测试文件-The source code is to achieve a 8051 mcu core of the VHDL code, interrupt, timer and other full-featured, and includes details of the various parts of the test document
Platform: | Size: 657408 | Author: swelgan | Hits:

[SCMspdif_interface

Description: 为提高8051系列单片机I2C总线的工作效率,提高整机工作性能,根据I2C总线协议设计了8051单片机的I2C接口电路。-To enhance the 8051 Series Single-chip I2C bus efficiency, improve machine performance, in accordance with I2C bus protocol designed 8051 the I2C interface circuit.
Platform: | Size: 1425408 | Author: laomo | Hits:

[VHDL-FPGA-Verilog8051

Description: 8051单片机VHDL内核,内有说明,很详细,值得下载-8051 VHDL core, which has made it clear that, in great detail, it is worth downloading
Platform: | Size: 739328 | Author: dushibiao | Hits:

[VHDL-FPGA-VerilogP8051

Description: This a FREE tool chain which compiles C codes into 8051 binary code, converts the binary to RTL ROM, and simulate in Modelsim. SDCC is the compiler. Example compilation: cd compile sdcc --iram-size 0x80 --xram-size 0x800 t8051.c REM sdcc --iram-size 0x80 t8051.c packihx t8051.ihx > t8051.hex ..\test\mkrom.exe ..\compile\t8051.hex-This a FREE tool chain which compiles C codes into 8051 binary code, converts the binary to RTL ROM, and simulate in Modelsim.SDCC is the compiler.Example compilation: cd compile sdcc- iram-size 0x80- xram-size 0x800 t8051 . c REM sdcc- iram-size 0x80 t8051.c packihx t8051.ihx> t8051.hex .. estmkrom.exe .. compile 8051.hex
Platform: | Size: 8100864 | Author: zhao xin ke | Hits:

[VHDL-FPGA-Verilogoc8051.tar

Description: 8051 core writen in VHDL, fully functional and tested
Platform: | Size: 1513472 | Author: eldis | Hits:

[VHDL-FPGA-VerilogDM10_KX8051_LCD128X64_C5T

Description: FPGA中嵌入8051的核 并且实现控制128*64的液晶显示-FPGA embedded in 8051 and to achieve control of the nuclear 128* 64 LCD
Platform: | Size: 440320 | Author: 万海 | Hits:

[Embeded-SCM DevelopUART

Description: A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
Platform: | Size: 45056 | Author: sandeep | Hits:

[VHDL-FPGA-VerilogDDR

Description: HYB25025616的IP核,可直接用于microblaze的应用里,在合众达FEM024板子直接使用-HYB25025616 the IP core, can be used directly microblaze application, the board in the Triangle over FEM024 directly
Platform: | Size: 3968000 | Author: 网络蚂蚁 | Hits:

[VHDL-FPGA-Verilogrtl_v

Description: 8051 alu in verilog not vhdl this fucking shit website
Platform: | Size: 106496 | Author: dark schneider | Hits:

[VHDL-FPGA-VerilogA-Simplified-VHDL-UART

Description: In embedded systems, the processor that we choose for our design may not come with built-in peripherals. Therefore, designers will have to implement these devices in hardware keeping in mind that they will need to interface to the processor. In this lab we will design a simplified UART (Universal Asynchronous Reciever Transmitter) in VHDL and download it to the FPGA on the XS40 baord. Serial communication is often used either to control or to receive data from an embedded microprocessor. Serial communication is a form of I/O in which the bits of a byte begin transferred appear one after the other in a timed sequence on a single wire. Serial communication has become the standard for intercomputer communication. In this lab, we ll try to build a serial link between 8051 and PC using RS232.
Platform: | Size: 374784 | Author: mezzich | Hits:

[VHDL-FPGA-Verilog8051_latest

Description: this project is regarding 8051 microcontroller development in VHDL language using xilinx tool for synthesis of code-this project is regarding 8051 microcontroller development in VHDL language using xilinx tool for synthesis of code
Platform: | Size: 5737472 | Author: gurhans | Hits:

[Com Port51

Description: 完整的8051的IP核,用VHDL语言描述-the ip core of c8051,described in VHDL language
Platform: | Size: 758784 | Author: lvpw | Hits:
« 12 »

CodeBus www.codebus.net